Skip to content

feat: implement more software access properties

Lukasz Butkowski requested to merge sw_acc_props into main

Software side effects implemented. This adds support for the following field properties:

  • woclr
  • woset
  • rclr
  • rset
  • singlepulse
  • onread
    • rclr All the bits of the field are cleared on read (field = 0).
    • rset All the bits of the field are set on read (field = all 1’s).
  • onwrite
    • woset Bitwise write one to set (field = field | write_data).
    • woclr Bitwise write one to clear (field = field & ~write_data).
    • wot Bitwise write one to toggle (field = field ^ write_data).
    • wzs Bitwise write zero to set (field = field | ~write_data).
    • wzc Bitwise write zero to clear (field = field & write_data).
    • wzt Bitwise write zero to toggle (field = field ~^ write_data).
    • wclr All bits of the field are cleared on write (field = 0).
    • wset All bits of the field are set on write (field = all 1’s).

Reference: SystemRDL 2.0 documentation, 9.6 Software access properties

Edited by Lukasz Butkowski

Merge request reports